Pakiet MyHDL
Python w służbie sprzętu i układów FPGA
Zastosowania Pythona są niepoliczalne. Można długo wymieniać przykłady pakietów, bibliotek i innych przypadków użycia tego języka (a raczej powinniśmy mówić środowiska/ekosystemu). Nie powinno zatem dziwić, iż w Pythonie można także projektować rozwiązania dla sprzętu z generacją kodu dla języków VHDL lub Verilog.